$rose(var) 如果变量值变为1,返回true, 否则返回false。
$fell(var) 如果变量值变为0,返回true,否则返回false。
$sampled(var) 返回变量在clock tick时候的值,一般情况下不太用,但在变量在clock tick和simulation time的值不一致时,用$sampled()可以避免混乱。
$stable(var) 如果变量值不变,返回true,否则返回false。
$changed(var) 如果变量值改变,返回true,否则返回false。
$past(var,num_of_ticks, enable) 获得num_of_ticks之前的变量值,enable起到一个gating作用。