当前位置: 首页 > news >正文

电子元器件网站建设/模板自助建站

电子元器件网站建设,模板自助建站,flash网站制作教程,极致cms怎么样D触发器 在时钟上升沿,D输入0,Q输出1 在时钟上升沿,D输入1,Q输出0 输出只在上升沿发生变化。 一般的; module ex_module( input wire sclk, input wire rst_n, input wire [7:0] d,//声明模块时输入必须是wire变量,构件之间的物理连线 input wire [7:0] q,//模块声明…

D触发器
在时钟上升沿,D输入0,Q输出1
在时钟上升沿,D输入1,Q输出0
输出只在上升沿发生变化。
一般的;
module ex_module(
input wire sclk,
input wire rst_n,
input wire [7:0] d,//声明模块时输入必须是wire变量,构件之间的物理连线
input wire [7:0] q,//模块声明时输出可以是wire变量给,也可以是reg变量,REG 用于时序逻辑,reg 用于 always @ (posedge clk) 的always 块中。
);
always @(posedge sclk or negedge rst_n)//敏感列表可以包括电平触发或沿触发
if(rst_n==1’b0)//条件表,这里是组合逻辑,一个比较器,1比特,b表示2进制
q<=8’h00;//沿触发的逻辑里面一定要用,<=非阻塞值
else
q<=d;
endmodule

在这里插入图片描述这里2个异步D触发器
那么2级D触发器的作用是什么
就是
时钟信号与复位信号有一个亚稳态我们用两个D触发器连起来中间设一个延时,亚稳态信号很小且时间短暂,到第二个D触发器时就基本上消失了,当然也可以继续加D触发器,不过一般2个就足够了。
对于它的程序
module prac (

    clk,reset_n,dataa,datab,outa,outb
);
input        clk;
input        reset_n;
input        dataa;
input        datab;
output        outa;
output        outb;
reg            reg1;
reg            reg2;
reg            reg3;
reg            reg4;
assign    outa    = reg1;
assign    outb    = reg2;
assign    rst_n    = reg4;
always @ (posedge clk or negedge reset_n)      //“异步复位同步释放”的复位模块
beginif (!reset_n)beginreg3    <= 1'b0;reg4    <= 1'b0;endelsebeginreg3    <= 1'b1;reg4    <= reg3;end
endalways @ (posedge clk or negedge rst_n)   //功能模块,注意rst_n是沿变驱动。
beginif (!rst_n)beginreg1    <= 1'b0;reg2    <= 1'b0;endelsebeginreg1    <= dataa;reg2    <= datab;end
end

endmodule

http://www.lbrq.cn/news/1479007.html

相关文章:

  • 普集网站开发/网上怎么做广告
  • 做视频网站可行吗/广州搜索排名优化
  • 北京网站制作基本流程/站长统计是什么意思
  • 松阳县建设局网站/找代写文章写手
  • 定制头像的网站/汕头企业网络推广
  • 免费做漫画网站/搜索量用什么工具查询
  • 中国建设积分商城网站/网络服务是什么
  • 制作网站建设的公司/西安关键词seo
  • wordpress 3.8 问题/河北网站seo策划
  • 香港建站网站/国产系统2345
  • 网站建设账务处理/怎样进行网络推广效果更好
  • 建设厅网站更改登陆密码/网址大全123
  • 知名建站的公司/搜索引擎排名查询工具
  • 实用的网站/比较好的软文发布平台
  • 高端网站定做/新闻热点事件2021(最新)
  • 建立网站批复/各大免费推广网站
  • 学做网站 软件/刺激广告
  • 做梯子的企业网站/注册网站需要多少钱
  • 做百度移动端网站软件下载/英文网站seo发展前景
  • vi设计基本要素/济南做seo排名
  • .net做网站后台/网络营销策划方案的目的
  • 免费网站建设报价/网络营销专业大学排名
  • 做外贸 是否需要做中文网站/seo怎么收费的
  • 快速搭建网站的软件/周口seo公司
  • 做网站前端后台/网络营销理论基础有哪些
  • 网站建设及经营应解决好的问题/app注册推广
  • asp网站连接access数据库/网页优化
  • 如何做网站挂qq/劳动局免费培训项目
  • 邢台做网站价位/网络推广怎样做
  • 乐山市建设局官方网站/网站排名seo培训
  • 从内部保护你的网络
  • p5.js 3D模型(model)入门指南
  • Git基础命令大全
  • android APT技术
  • 移动端WebView调试实战 跨域问题与授权失败的完整排查流程
  • 【neo4j】跨版本升级数据库