当前位置: 首页 > news >正文

深圳网站制作哪家负责百度搜索引擎广告投放

深圳网站制作哪家负责,百度搜索引擎广告投放,新建一个网站需要多少钱,合肥微网站制作AXI Stream 是 AMBA AXI4协议中的一部分,主要是高效的流传输. 包含的信号有 ACLK 时钟 ARESET 复位 TDATA 数据 TVALID TREADY TSTRB TKEEP TLAST TID TDEST TUSER 在XPS中Hardware-->Create or Import Peripheral 具体设置如下三图 选择 AXI4-Stream 这两个数值可以任意设…

AXI Stream 是 AMBA AXI4协议中的一部分,主要是高效的流传输.

包含的信号有

ACLK 时钟

ARESET 复位

TDATA 数据

TVALID 

TREADY

TSTRB

TKEEP

TLAST

TID

TDEST

TUSER

在XPS中Hardware-->Create or Import Peripheral

具体设置如下三图

选择 AXI4-Stream

 

这两个数值可以任意设置,不过最好设置成相等的.具体原因,看代码就知道了.

把这三项全打上勾,因为我们要用ISE进行仿真,而且我本人对VHDL几乎算一窍不通,对于Verilog还稍微能看懂一点,所以生成的IP核的语言选择Verilog

 

创建好了这个用户IP之后

可以在ISE中对其进行仿真.

创建好的IP的/hdl/Verilog文件夹中有IP的源代码,而devl/projnav文件夹中有ise工程

打开ISE工程,

选择到Simulation,并创建测试波形输入

 

我自己写的testbench如下:(我把S_AXIS_TDATA宽度改成24bit了,读取和输出的num都改成了3)

`timescale 1ns / 1ps////
// Company: 
// Engineer:
//
// Create Date:   10:00:35 04/20/2014
// Design Name:   axis_24to32
// Module Name:   G:/Atyls_hdmi_osd2/pcores/axis_24to32_v1_00_a/devl/projnav/testbench.v
// Project Name:  axis_24to32
// Target Device:  
// Tool versions:  
// Description: 
//
// Verilog Test Fixture created by ISE for module: axis_24to32
//
// Dependencies:
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
////module testbench;// Inputsreg ACLK;reg ARESETN;reg [23:0] S_AXIS_TDATA;reg S_AXIS_TLAST;reg S_AXIS_TVALID;reg M_AXIS_TREADY;// Outputswire S_AXIS_TREADY;wire M_AXIS_TVALID;wire [31:0] M_AXIS_TDATA;wire M_AXIS_TLAST;// Instantiate the Unit Under Test (UUT)
    axis_24to32 uut (.ACLK(ACLK), .ARESETN(ARESETN), .S_AXIS_TREADY(S_AXIS_TREADY), .S_AXIS_TDATA(S_AXIS_TDATA), .S_AXIS_TLAST(S_AXIS_TLAST), .S_AXIS_TVALID(S_AXIS_TVALID), .M_AXIS_TVALID(M_AXIS_TVALID), .M_AXIS_TDATA(M_AXIS_TDATA), .M_AXIS_TLAST(M_AXIS_TLAST), .M_AXIS_TREADY(M_AXIS_TREADY));initial begin// Initialize InputsACLK = 0;ARESETN = 0;S_AXIS_TDATA = 0;S_AXIS_TLAST = 0;S_AXIS_TVALID = 0;M_AXIS_TREADY = 0;// Wait 100 ns for global reset to finish#94;ARESETN = 1;  // Add stimulus hereendalways #1 ACLK = ~ACLK;always beginbeginS_AXIS_TDATA = 1;S_AXIS_TVALID = 1;#2S_AXIS_TDATA = 2;#2S_AXIS_TDATA = 3;#2;endendalways @(posedge ACLK)beginif(M_AXIS_TVALID == 1)beginM_AXIS_TREADY = 1;endendendmodule

最终仿真波形如下:

 

对波形分析可以看出如果每个时钟上升沿的TDATA上的数据都是有效数据,那么TVALID可以一直为高,TREADY也可以一直为高,直至一次传输结束,这样的情况效率是很高的,ACLK其实是100MHz,那么传输速度理论可达100M*位宽bit/s 通常位宽32,那么就是100M*4Bytes/s = 400Mbyte/s.

 

转载于:https://www.cnblogs.com/elitezhe/p/axi-stream-xps.html

http://www.lbrq.cn/news/2490553.html

相关文章:

  • 深圳画册设计排版网站怎么优化自己免费
  • 微信编辑器做网站如何用网站模板建站
  • 河南省汝州市文明建设门户网站淘宝seo搜索优化工具
  • 手机推广赚钱平台北京seo全网营销
  • 房地产网站怎么做今天国内新闻
  • 商贸公司网站模板长春网站优化服务
  • 关于建设网站的图片淄博信息港聊天室网址
  • 化妆品网站设计思路搜狗搜索旧版本
  • 在哪个网站做外快设计化妆培训
  • 网站 申请绍兴seo外包
  • 临淄网站推广全国疫情最新情况公布
  • 响应式网站是什么网站设计
  • 为什么要给大夫做网站全网营销系统1700元真实吗
  • wordpress+电商版本青岛百度关键词优化
  • 石家庄站客服电话网络营销与管理
  • 网站换空间上怎么办发布平台有哪些
  • 滨江区住房和城乡建设局网站链接提交入口
  • 某网站注册需要邮箱是怎么弄线上销售平台
  • 做网站要先买域名吗东莞推广系统
  • 国外做网站被动收入百度教育小程序
  • 公众号做电影采集网站会被封南京 seo 价格
  • 共享网站的建设与规划如何实现网站的快速排名
  • 小说分销平台seo外包方法
  • 鄂尔多斯建设招投标网站电商营销策划方案范文
  • 在哪里建设网站app推广80元一单
  • 学校网站建设如何服务社会杭州网站关键词排名
  • 嘉兴做网站赚钱么收录排名好的发帖网站
  • 大沥网站设计bt搜索引擎
  • 包装设计公司有哪些呢贵州萝岗seo整站优化
  • 集团网站建设策划方案google图片搜索
  • 利用RAII与析构函数避免C++资源泄漏
  • 特产|基于SSM+vue的南阳特产销售平台(源码+数据库+文档)
  • pose调研
  • Flutter 提取图像主色调 ColorScheme.fromImageProvider
  • Oracle 11g RAC数据库实例重启的两种方式
  • [Python 基础课程]注释